script: sp_d03r0101/SP_D03R0101_FLAG

code

SP_D03R0101_FLAG:
    jump("ev_d03r0101_flag_change")
    sp_event_data_end()

0 callers

script_set script invocation
Nothing is here...