script: sp_d04r0101/SP_D04R0101_FLAG

code

SP_D04R0101_FLAG:
    jump("ev_d04r0101_flag_change")
    sp_event_data_end()

0 callers

script_set script invocation
Nothing is here...