script: sp_d10r0301/SP_D10R0301_FLAG

code

SP_D10R0301_FLAG:
    jump("ev_d10r0301_flag_change")
    sp_event_data_end()

0 callers

script_set script invocation
Nothing is here...