script: sp_d17r0118/SP_D17R0118_FLAG

code

SP_D17R0118_FLAG:
    jump("ev_d17r0118_flag_change")
    sp_event_data_end()

0 callers

script_set script invocation
Nothing is here...