script: sp_r209r0102/SP_R209R0102_FLAG

code

SP_R209R0102_FLAG:
    jump("ev_r209r0102_flag_change")
    sp_event_data_end()

0 callers

script_set script invocation
Nothing is here...